​启闳半导体科技(江苏)有限公司QiHong Semicon TECHNOLOGY (JIANGSU) CO.,LTD

电子邮箱  
密码      忘记密码?
  注册
EUV光刻,最终胜出!
来源:半导体行业观察 | 作者:李晨光 | 发布时间: 2022-08-26 | 1106 次浏览 | 分享到:


EUV光学系统简图

(图源:lithography gets extreme)


EUV技术最明显的特点是曝光波长一下子降到13.5nm,用13.5nm波长的EUV取代193nm的DUV光源,在光刻精密图案方面更具优势,能够减少工艺步骤,提升良率,也能大幅提升光刻机的分辨率。


但也面临挑战,因为在如此短波长的光源下,几乎所有物质都有很强的吸收性,EUV技术的关键难点在于材料吸收,因为波长太短光子能量很高,基本上大部分材料都会很容易的吸收EUV光源,导致光源到达工作面时光强很弱,所以设计时,材料的选取是非常关键,光刻环境也要要求严格的真空环境。一种新光源光刻机的出现,必定是影响一整条产业链的格局,因为不同光源对掩膜材料,光刻胶材料,光学镜头等都独特的要求。


从市场进展来看,ASML在2006年推出了EUV光刻机的原型,2007年建造了10000平方米的无尘工作室,在2010年造出了第一台研发用样机NXE3100,到了2015年终于造出了可量产的样机,而在这研发过程中,英特尔、三星、台积电这些半导体大厂的也输了不少血。


2006年全球首台EUV光刻机原型


此后,ASML凭借EUV光刻技术,逐渐成为光刻机市场不可撼动的霸主。2012年,英特尔、台积电、三星等厂商纷纷注资入股ASML,以支持其EUV光刻技术的改进与升级,从而换取优先供货权。


2013年之后,为加速EUV技术的发展,ASML不断进行并购整合,陆续收购了光刻光源制造商Cymer、电子束测量工具供应商HMI、荷兰高科技公司Mapper以及Berliner Glas集团等。聚焦EUV光刻领域,ASML于二十余年内投资超60亿欧元,并于2020年实现了EUV光刻机大规模量产。


作为全球唯一一家能EUV光刻机的厂家,ASML自然获得了大量的订单。截至2022年第一季度,ASML已出货136个EUV系统,约7000万个晶圆已曝光。


ASML EUV系统销量(图源:ASML)


光刻技术的过去与未来


根据光刻机所用光源改进和工艺创新,光刻机经历了5代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。

ASML光刻机发展历程

(图源:ASML)


第一代为接触接近式光刻机,曝光方式为接触接近式,使用光源分别为436nm的g-line和365nm的i-line,接触式光刻机由于掩模与光刻胶直接接触,所以易受污染,掩模版和基片容易受到损伤,掩模版寿命短。


第二代为接近式光刻机,使用光源也为436nm的g-line和365nm的i-line,曝光方式为掩模版与半导体基片之间为非紧密接触状态,掩模版不容易受到损伤,掩模版寿命长,但由于掩模版与基片之间有一定间隙,成像质量受到影响,分辨率下降。